edastudy:verdi:dump_waveform
dump wavefrom
1. dump fsdb
`timescale 1ns/1ns module test; initial begin $fsdbDumpfile("test.fsdb"); $fsdbDumpvars(0,test, "+mda"); end ... endmodule
2. dump memory & data array
方式一:
setenv NOVAS_FSDB_MDA 1
方式二:
$fsdbDumpvars(0,top,“+mda”);
3. skip cell instance
NOTE: The primitive cells compiled through the simulator compile option -v or -y are treated as library cells.
NOTE: To skip cell instances, use the NOVAS_FSDB_SKIP_CELL_INSTANCE environment variable or the +fsdb+skip_cell_instance=mode runtime option. For example:
simv +fsdb+skip_cell_instance=1
edastudy/verdi/dump_waveform.txt · 最后更改: 2023/03/17 10:12 由 127.0.0.1