cadence继irun之后的仿真工具
方式一:
单命令执行, compile, elaborate, run
xrun +access+rwc top.v -input wave.tcl
方式二:
分三步执行, compile, elaborate, run
xrun -compile top.v xrun -elaborate +access+rwc top.v; # +access+rwc加了这个,才能dump出波形信号,不然是空的。 xrun -R -input wave.tcl ; ## 这里的wave.tcl是控制仿真过程,以及添加shm (for simvision)格式和ida 格式的波形,如果不需要的话,可以不加。
直接在.v文件中添加相关的waveform dump函数。
initial begin $dumpfile("test.vpd"); $dumpvars(0,top); end