跳至内容
wiki
用户工具
登录
站点工具
搜索
工具
显示页面
修订记录
导出 PDF
反向链接
最近更改
媒体管理器
网站地图
登录
>
最近更改
媒体管理器
网站地图
您在这里:
start
»
edastudy
»
verdi
»
dump_waveform
您的足迹:
edastudy:verdi:dump_waveform
本页面只读。您可以查看源文件,但不能更改它。如果您觉得这是系统错误,请联系管理员。
====== dump wavefrom ====== ===== - dump fsdb ===== <code verilog> `timescale 1ns/1ns module test; initial begin $fsdbDumpfile("test.fsdb"); $fsdbDumpvars(0,test, "+mda"); end ... endmodule </code> ===== - dump memory & data array ===== 方式一: setenv NOVAS_FSDB_MDA 1 方式二: $fsdbDumpvars(0,top,"+mda"); ===== - skip cell instance ===== NOTE: The primitive cells compiled through the simulator compile option -v or -y are treated as library cells. NOTE: To skip cell instances, use the NOVAS_FSDB_SKIP_CELL_INSTANCE environment variable or the +fsdb+skip_cell_instance=mode runtime option. For example: simv +fsdb+skip_cell_instance=1
edastudy/verdi/dump_waveform.txt
· 最后更改: 2023/03/17 10:12 由
127.0.0.1
页面工具
显示页面
修订记录
反向链接
导出 PDF
回到顶部