用户工具

站点工具


edastudy:verilog

差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录前一修订版
后一修订版
前一修订版
edastudy:verilog [2025/01/15 15:41] – [8. module parameter] user01edastudy:verilog [2025/06/03 16:27] (当前版本) – [9. generate] user01
行 1: 行 1:
 ====== verilog ====== ====== verilog ======
 +
 +vcs仿真参考 [[edastudy:vcs:vcs使用]]
  
 ===== - 异步复位 ===== ===== - 异步复位 =====
行 129: 行 131:
 endmodule endmodule
 </code> </code>
 +
 +===== - generate =====
 +<code verilog>
 +wire  [7:0] d;
 +wire  [7:0] q;
 +wire  [7:0] q2;
 +
 +a u_a[7:0] (
 +  .clk   (clk),
 +  .rst_n  (rst_n),
 +  .d      (d[7:0]),
 +  .q      (q[7:0])
 +);
 +
 +genvar i;
 +generate
 +for (i=0;i<8;8=i+1) begin: a2inst
 +a u_a2 (
 +  .clk   (clk),
 +  .rst_n  (rst_n),
 +  .d      (d[i]),
 +  .q      (q2[i])
 +);
 +end
 +endgenerate
 +
 +
 +</code>
 +
 +===== - 加延时 =====
 +
 +<code verilog>
 +
 +initial begin
 +  forever #5 clk = ~clk;
 +end
 +
 +initial begin
 +  #5 rst_n = 1'b1;
 +end
 +
 +assign #1 clk2 = clk1;
 +
 +always @(posedge clk)
 +  data2 <= #1 data1;
 +
 +</code>
 +
 +===== - 仿真force注意 =====
 +
 +force某一个net时,要意识到,其它这个force会前后传递的\\
 +不只是当前看到的PIN的值被force成期望值,而且从这个点向两个方向都会传递值,传递出去的值可能不是仿真预期的,最后造成仿真FAIL。
 +
 +如果不想影响更多的点,可能是要想办法把线给断开,只有期望点才会被force。
edastudy/verilog.1736926890.txt.gz · 最后更改: 2025/01/15 15:41 由 user01

Donate Powered by PHP Valid HTML5 Valid CSS Driven by DokuWiki